帮帮文库

doc 毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品 ㊣ 精品文档 值得下载

🔯 格式:DOC | ❒ 页数:60 页 | ⭐收藏:0人 | ✔ 可以修改 | @ 版权投诉 | ❤️ 我的浏览 | 上传时间:2022-06-25 03:04

《毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品》修改意见稿

1、以下这些语句存在若干问题,包括语法错误、标点使用不当、语句不通畅及信息不完整——“.....而且是产品的性能越来越强,复杂程度越来越高,更新步伐越来越快。支撑信息电子产品高速发展的基础就是微电子制造工艺水平的提高和电子产品设计开发技术的发展。前者以微细加工技术为代表,而后者的代表就是电子设计自动化,技术。技术就是以计算机为工具,设计者在软件平台上,用硬件描述语言完成设计文件,然后由计算机自动地完成逻辑编译化简分割综合优化布局布线和仿真,直至对于特定目标芯片的适配编译逻辑映射和编程下载等工作。技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度......”

2、以下这些语句存在多处问题,具体涉及到语法误用、标点符号运用不当、句子表达不流畅以及信息表述不全面——“.....实现时间的调整与设置。该模块分为三个小模块时间计数模块时间设置模块和时间数据多路选择模块。该模块顶层电路如图所示图时间及其设置模块顶层电路图该模块逻辑框图如图所示图时间及其设置模块逻辑框图时间计数模块该模块主要完成时间的自动增加与显示功能,即为正常的自动模式运行。该模块分为三个小模块秒计数子模块分计数子模块和时计数子模块。该模块电路图如图所示北方民族大学学士学位论文基于的电子钟的设计第页共页图时间计数模块电路图该模块逻辑框图如图所示。仿真波形图如图所示。图时间计数模块逻辑框图图时间计数模块仿真波形图秒计数子模块逻辑框图如图所示。图秒计数子模块框图是时间显示信号,当时有效是秒脉冲输入端口输出端口是秒时钟的低位,是高位端口是进位输出端口,当秒计数到时输出高电平,其它时候输出低电平......”

3、以下这些语句在语言表达上出现了多方面的问题,包括语法错误、标点符号使用不规范、句子结构不够流畅,以及内容阐述不够详尽和全面——“.....具有时间日期显示功能,以小时循环计数具有校时跑表以及任意时刻闹钟功能。本设计采用技术,以硬件描述语言为系统逻辑描述手段设计文件,在工具软件环境下,采用自顶向下的设计方法,由各个基本模块共同构建了个基于的数字钟。系统主要由主分频模块控制模块时间及其设置模块时间显示动态位选模块显示模块秒表模块日期显示与设置模块闹钟模块等个模块组成。本系统能够完成日期和时间的分别显示,由按键输入进行数字钟的校时清零启停功能。关键词数字钟......”

4、以下这些语句该文档存在较明显的语言表达瑕疵,包括语法错误、标点符号使用不规范,句子结构不够顺畅,以及信息传达不充分,需要综合性的修订与完善——“.....其包括分频模块,时钟主控制模块,时间及其设置模块,时间显示动态位选模块,显示模块,跑表模块,日期显示与设置模块及闹钟模块,该系统顶层电路如图所示。图数字钟顶层电路图北方民族大学学士学位论文基于的电子钟的设计第页共页数字钟的工作原理按键消抖动可编程逻辑器件功能键功能键功能键显示模块图数字钟整体框图功能键用来选择不同的工作模式时间正常显示功能时间调整与显示秒表功能闹钟设置与查看日期显示日期调整与设置。调整键主要用于闹钟设置日期显示与调整秒表时间调整与设置中的位置选择按钮,与功能键配合使用。号键功能模式,即时间调整与设置时,用作时分秒的移位,按下,将会实现时分秒的依次移位,便于在特定位置进行调整号键功能模式,即闹钟设置与查看时,同样用作时分秒的移位,按下......”

5、以下这些语句存在多种问题,包括语法错误、不规范的标点符号使用、句子结构不够清晰流畅,以及信息传达不够完整详尽——“.....计满后各计数器清零,重新计数。计数器的输出分别经译码器送数码管显示。计时出现误差时,可以用时间设置和日期设置电路调整时间和日期。在控制信号中除了般的校时信号外,还有自动走时使能信号。控制信号由按键输入。显示由数码管完成。北方民族大学学士学位论文基于的电子钟的设计第页共页第三章单元电路设计分频模块该模块完成全局时钟信号分频输出的三种时钟信号。分频电路的流程图如图所示,逻辑框图如图所示。仿真波形图如图所示。开始......”

6、以下这些语句存在多方面的问题亟需改进,具体而言:标点符号运用不当,句子结构条理性不足导致流畅度欠佳,存在语法误用情况,且在内容表述上缺乏完整性。——“.....包括对时间显示与调整日期显示与调整闹钟显示与调整秒表操作等的控制。主控制电路模块的逻辑框图如图所示。仿真波形图如图所示。图时钟主控制电路模块逻辑框图图时钟主控制模块仿真波形图该模块的程序如下,功能号选择,时间自动显示使能,时间调整与设置使能,跑表功能使能北方民族大学学士学位论文基于的电子钟的设计第页共页,闹钟功能使能,日期显示使能日期调整与设置使能存放功能号时间自动显示时间调整与设置北方民族大学学士学位论文基于的电子钟的设计第页共页跑表闹钟设置北方民族大学学士学位论文基于的电子钟的设计第页共页日期显示日期调整与设置北方民族大学学士学位论文基于的电子钟的设计第页共页时间及其设置模块该模块主要完成时间的自动正常运行与显示......”

7、以下这些语句存在标点错误、句法不清、语法失误和内容缺失等问题,需改进——“.....北方民族大学学士学位论文基于的电子钟的设计第页共页钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警定时启闭电路定时开关烘箱通断动力设备,甚至各种定时电气的自动启用等,所有这些都是以钟表数字化为基础的。近些年,随着科技的发展和社会的进步,人们对数字钟的要求也越来越高,传统的时钟已不能满足人们的需求,因此研究数字钟以及扩大其应用有着非常现实的意义。课题研究的内容本设计主要研究基于的数字钟,要求时间可以小时制或小时制,显示时间和日期。具有校时以及整点报时任意时刻闹钟跑表等功能,可以对时间及日期进行单独校对,使其校正到标准时间......”

8、以下文段存在较多缺陷,具体而言:语法误用情况较多,标点符号使用不规范,影响文本断句理解;句子结构与表达缺乏流畅性,阅读体验受影响——“.....便于在特定位置进行调整号键功能模式,即日期调整与设置时,用作月日的移位,按下,将会实现月日的依次移位,便于在特定位置进行调整。调整键主要用于闹钟设置日期显示与调整秒表时间调整与设置中的调整按钮,与功能键配合使用。号键功能模式,即时间调整与设置时,用作时分秒的调整,按下,将会使得当前调整键选择的位置数字增加北方民族大学学士学位论文基于的电子钟的设计第页共页号键功能模式,即闹钟设置与查看时,同样用作时分秒的调整,按下,将会使得当前调整键选择的位置数字增加号键功能模式,即日期调整与设置时,用作月日的移位,按下,将会使得当前调整键选择的位置数字增加。分频电路将的输入信号分频为的计时模块信号源的信号跑表基准时钟信号源和的数码管动态显示扫描频率。秒计数器满后向分计数器进位,分计数器满后向小时计数器进位......”

9、以下这些语句存在多方面瑕疵,具体表现在:语法结构错误频现,标点符号运用失当,句子表达欠流畅,以及信息阐述不够周全,影响了整体的可读性和准确性——“.....为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这切极大地改变了传统的数字系统设计方法设计过程和设计观念,促进了技术的迅速发展。本设计采用的是目前应用最为广泛的硬件描述语言,能支持算法级,寄存器级,逻辑级,开关级系统级和版图级等各个层次的设计和描述进行设计最大的优点是其工艺无关性,这使得工程师在功能设计,逻辑验证阶段可以不必过多考虑门级及工艺实现的具体细节,只需根据系统设计的要求施加不同的约束条件,即可设计出实际电路,因此在实际应用中越来越广泛。是专用的系统集成电路,是种带有逻辑处理的加速处理器。而是特殊的芯片,与其他的芯片相比......”

下一篇
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
1 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
2 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
3 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
4 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
5 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
6 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
7 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
8 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
9 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
10 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
11 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
12 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
13 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
14 页 / 共 60
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
毕业设计_基于FPGA的数字钟的设计.doc文档60页原创精品
15 页 / 共 60
温馨提示

1、该文档不包含其他附件(如表格、图纸),本站只保证下载后内容跟在线阅读一样,不确保内容完整性,请务必认真阅读。

2、有的文档阅读时显示本站(www.woc88.com)水印的,下载后是没有本站水印的(仅在线阅读显示),请放心下载。

3、除PDF格式下载后需转换成word才能编辑,其他下载后均可以随意编辑、修改、打印。

4、有的标题标有”最新”、多篇,实质内容并不相符,下载内容以在线阅读为准,请认真阅读全文再下载。

5、该文档为会员上传,下载所得收益全部归上传者所有,若您对文档版权有异议,可联系客服认领,既往收入全部归您。

  • 文档助手,定制查找
    精品 全部 DOC PPT RAR
换一批