帮帮文库

返回

基于VHDL的地铁自动售票系统设计 基于VHDL的地铁自动售票系统设计

格式:word 上传:2022-06-25 17:02:54

《基于VHDL的地铁自动售票系统设计》修改意见稿

1、以下这些语句存在若干问题,包括语法错误、标点使用不当、语句不通畅及信息不完整——“.....这对于对付大规模逻辑电路设计中令人深感棘手的竞争冒险现象无疑是个上佳的选择,加之综合器对状态机的特有的优化功能,使的状态机解决方案的优越性更为突出。状态机的设计程序层次分明,结构清晰,易读易懂,易排错和修改。在高速运算和控制方面,状态机更有其巨大的优势。由于在中,个状态机可以由多个进程构成,个结构体中可以包含多个状态机,而个单独的状态机或多个并行运行的状态机以顺序方式的所能完成的运算和控制方面的工作与个类似。就运行速度而言,状态机状态变换周期只有个时钟周期,而且,由于在每状态中,状态机可以完成许多并行的运算和控制操作,所以,个完整的控制程序,即使由多个并行的状态机构成,其状态数也是十分有限的。因此有理由认为,由状态机构成的硬件系统比所能完成同样功能的软件系统的工作速度要高出两个数量级。就可靠性而言,状态机的优势也是十分明显的。首先是由于状态机的设计中能使用各种无懈可击的容错技术其次是当状态机进入非法状态并从中跳出所耗的时间十分短暂,通常只有个时钟周期......”

2、以下这些语句存在多处问题,具体涉及到语法误用、标点符号运用不当、句子表达不流畅以及信息表述不全面——“.....尚不足以对系统的运行构成损害应用设计状态机的具体步骤如下根据系统要求确定状态数量状态转移的条件和各状态输出信号的赋值,并画出状态转移图按照状态转移图编写状态机的设计程序利用工具对状态机的功能进行仿真验证。经过综合比较,决定采用状态机来实现本系统的设计,这样不仅思路很清楚而且可读性也非常强利用状态机实现售票功能,仅需要个模块,避免了考虑各模块相连时的控制问题因此需要首先把整个系统的所有状态都给罗列出来,然后实现每个状态的内容,最后再利用些变量将各个状态连接起来,实现整个系统的基本功能状态机设计根据以上的分析,地铁售票系统可以分为以下五个状态选站状态选票状态投币状态出票状态找零状态而且系统每个状态完成以下功能等待,选站状态在该状态用户完成选站功能,选站完成后,不为零,自动进入选票状态。当无人使用时,系统也停留在该状态,等待下个用户使用。选票状态在该状态用户完成选票功能,选票完成后,不为零,自动进入投币状态。按键可取消操作,系统回到等待选站状态......”

3、以下这些语句在语言表达上出现了多方面的问题,包括语法错误、标点符号使用不规范、句子结构不够流畅,以及内容阐述不够详尽和全面——“.....可多次投钱,可同时投硬币和纸币,但不可同时投个以上硬币或张以上纸币。用户投币后,在该状态系统内部完成计算投币总额的功能,并将计算结果跟所需钱数比较,若钱不够,回到投币状态,也可按键将钱退出若钱正好投够,进入出票状态出票结束后,若钱有多余,则自动进入找钱状态。出票状态在该状态完成出票功能,票每周期出张,直到出够为止。票全部出完以后,自动进入出钱状态。找零状态在该状态完成出币功能,若余额不为零,继续在此状态出币,若余额已为零,系统回到等待选站状态。以上所有功能按时钟周期进行选择票数投币选择站名称余额找零出票给出几个变量,控制状态间的转移。根据判断图状态转移图是否完成选站,根据判断是否完成选票,根据判断投币是否足够,根据判断出票是否足够,根据判断找钱是否结束设计中输入有其中是时钟周期信号,为系统复位信号,是乘客所选的出站号,程序中共设置了个站,对应的票价为元和元代表本站,站票价为元,站票价为元而表示乘客所选的票数,为方便设计......”

4、以下这些语句该文档存在较明显的语言表达瑕疵,包括语法错误、标点符号使用不规范,句子结构不够顺畅,以及信息传达不充分,需要综合性的修订与完善——“.....表示乘客投的硬币,是乘客在选票和投币期间用来取消操作的设计中输出有,其中表示出票,周期数即是出票数,表示找出的零钱,若所投钱币总额恰好为车票总额,则直为,表示所投金额不足时发出的警报,当继续投币至足额时会自动恢复为,交易正常进行是用来标号各个状态的加这个输出主要是考虑到调试程序时能更方便地观察到状态之间的转换,更容易发现问题,调试程序系统设计流程图经过分析,整个系统的流程图设计如图所示图系统流程图系统上电后首先对于整个系统进行进行复位,处于等待乘客选站状态当乘客选择了出站名之后,系统内部设定相应的票价元和元然后系统进入选择购买票数同时计算出票价总额选票完成后系统转到投币状态,系统对于乘客所投入的硬币角和元和纸币元,元,元,元进行识别,在每次投币结束后累加投币总额,然后判断所投钱币是否足够,如果不足继续停留在投币状态如果投币足够,系统进入到出票状态,每个周期出张票,出票结束后进行余额找零余额找零按照从大到小元,元,元,元,角的原则逐额进行退钱......”

5、以下这些语句存在多种问题,包括语法错误、不规范的标点符号使用、句子结构不够清晰流畅,以及信息传达不够完整详尽——“.....在选择了站点以后,乘客可以按下取消键,系统返回等待选站状态,可以进行重新选站或结束本次操作在投币过程中,如果所投钱币未达选站结束,进入选票状态未选站,停留在选站状态选择票数计算票价最多购张票选票结束,进入投币状态未选票,停留在选票状态进行投币连续投币,并进行累加投入硬币角元连续投币,并进行累加投币期间取消操作,退还所投钱币出票每个时钟周期出张票出票结束,余额找出继续出票余额找出,按从大到小原则退钱元,元,元,元,角元元元元角余额找出结束标志找零结束,回到选站状态继续找零其他任何情况,均回到选站状态参考文献辛春艳,硬件描述语言北京国防工业出版社张霞在现代中的应用现代电子技术夏宇闻复杂数字电路与系统的设计技术北京航空航天大学出版社赵世霞与微机接口设计北京清华大学出版社姜立东语言程序设计及应用第版北京邮电大学出版社张原可编程逻辑器件设计及应用机械工业出版社刘宝琴可编程逻辑器件及其应用北京清华大学出版社曾繁泰程序设计......”

6、以下这些语句存在多方面的问题亟需改进,具体而言:标点符号运用不当,句子结构条理性不足导致流畅度欠佳,存在语法误用情况,且在内容表述上缺乏完整性。——“.....顾新硬件描述语言与数字逻辑电路设计西安电子科技大学出版社修订版林敏,方颖立数字系统设计与高层次综合电子工业出版社甘历应用与开发实践科学出版社,,致谢在本次毕业设计过程中,我的导师陈平老师对于我的论文设计提出了宝贵的意见和辅导。他对于领域的认识,对工作的认真负责的态度,对困难敢于克服的精神,都给了我很大的帮助,支持我直完成了自己的毕业设计。在此我要表达自己由衷的感谢。谢谢老师的关怀和支持,此外我还对于帮助我的同学,支持我的家人表示自己的谢意,他们的支持是我能够完成毕业设计的力量源泉之。谢谢大家,到票价总额,乘客按下取消键后,系统转到余额找零状态逐额找零,找零结束后,重新回到等待选站状态程序仿真波形程序编制完成后,对于系统的各项功能分别进行以下调试及仿真图显示系统处于等待选站状态的波形系统开机上电后首先进行复位,所有输出均为零,系统处于等待选站状态图等待选站状态的波形图显示选站未选票的波形选择出站,票价为元......”

7、以下这些语句存在标点错误、句法不清、语法失误和内容缺失等问题,需改进——“.....车票总额为元,系统进入投币状态,同时,提醒进行投币图选站和选票都完成的波形通过以上各波形的仿真结果,可以看出本系统的设计基本实现了课题所要求的各项功能售票系统上电后首先进行复位,然后等待乘客选择站点,票价为元和元和票数。在选站和选票完成后,系统输出报警信号,提醒乘客进行投币。之后系统对于乘客投入的硬币和纸币进行识别,硬币的识别范围是角和元的硬币纸币的识别范围是,元,元乘客可以连续多次投入钱币,系统自动进行累加。当投入的钱币未达到所需金额时,报警信号仍然继续维持,提醒钱不够,需要继续投入钱币。当投入的钱币达到所需金额时,报警信号取消,售票机自动出票的周期数为出票数。如果投入的钱币有余,系统将按照从大到小的原则依此找出余额。本次交易结束,系统自动进入选站状态等待下次的交易。在投币期间,如果乘客按取消键取消本次操作,钱币自动退出。同时在选票期间,乘客可以按取消键重新选站或终止操作......”

8、以下文段存在较多缺陷,具体而言:语法误用情况较多,标点符号使用不规范,影响文本断句理解;句子结构与表达缺乏流畅性,阅读体验受影响——“.....包括纸币和硬币两个进币口,可识别的纸币和硬币的范围,连续投币问题,出票和找零钱,还有投币期间取消键的功能。除此之外,本模拟售票系统还有其它的些优越性。第,在设计时还考虑到了选站的问题。即把站台分为了个不同的站点,选择不同的站对应的票价也可能不样。这样就更贴近现实生活中的地铁售票系统。第二,在投入投币孔中的金额未达到票价总额时,系统将会报警,即提醒乘客钱未投够。乘客可以选择继续投币,若足额系统的报警将会消失,照常出票找零或选择按下取消键取消操作,则所投入的金额会全部退出,此次操作取消,系统自动回到选站状态。第三,本程序采用状态机的原理进行编程,可读性很强。本课题只是给出了波形仿真结果,但是在设计的过程中,这仅仅只是理论方面的有关研究,随之还有将理论研究的结果运用于实际,即将程序下载到中,在实际的电路中进行测定,看它是否实现了所要求的逻辑。这也是设计的必须步骤。由此可见,本课题的研究是不完整的。此外还有其他的些不足,如代码结构有些不合理......”

9、以下这些语句存在多方面瑕疵,具体表现在:语法结构错误频现,标点符号运用失当,句子表达欠流畅,以及信息阐述不够周全,影响了整体的可读性和准确性——“.....等等。附录程序源代码及解释系统复位信号系统时钟取消操作键出站名所购票数硬币投币口纸币投币口投币不足报警信号出票余额找出系统状态指示定义系统转换状态选站,选票,投币,出票,找零车票单价车票总额,投币总额,找零总额所购票数找零结束标志表示投入的角,元硬币系统复位,回到初始选站状态选择出站名来学习程序设计应该是比较容易的,可以轻松地掌握使硬件工作软件化。现代电子系统设计人员应该把语言作为种基础知识来学习,并要求能够熟练地使用的设计工具。在解决方案中应用有助于缩短数字系统的开发周期。但还应该注意,除了靠编写程序简化逻辑之外,还需要选择合理的。不同公司的所支持的语法并不相同,而且生成的代码效率也不同。经实验对比,相同的程序经过不同的编译器编译,芯片资源的消耗相差高达。另外,由于知识产权和专利保护问题,目前国际上尚无统的集成化开发工具可以完成从逻辑输入到下载所需的全部工作,这也给在解决方案中的广泛应用带来了定的困难。但是总的来讲......”

下一篇
温馨提示:手指轻点页面,可唤醒全屏阅读模式,左右滑动可以翻页。
基于VHDL的地铁自动售票系统设计.doc预览图(1)
1 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(2)
2 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(3)
3 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(4)
4 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(5)
5 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(6)
6 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(7)
7 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(8)
8 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(9)
9 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(10)
10 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(11)
11 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(12)
12 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(13)
13 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(14)
14 页 / 共 43
基于VHDL的地铁自动售票系统设计.doc预览图(15)
15 页 / 共 43
预览结束,还剩 28 页未读
阅读全文需用电脑访问
温馨提示 电脑下载 投诉举报

1、手机端页面文档仅支持阅读 15 页,超过 15 页的文档需使用电脑才能全文阅读。

2、下载的内容跟在线预览是一致的,下载后除PDF外均可任意编辑、修改。

3、所有文档均不包含其他附件,文中所提的附件、附录,在线看不到的下载也不会有。

1、该文档不包含其他附件(如表格、图纸),本站只保证下载后内容跟在线阅读一样,不确保内容完整性,请务必认真阅读。

2、有的文档阅读时显示本站(www.woc88.com)水印的,下载后是没有本站水印的(仅在线阅读显示),请放心下载。

3、除PDF格式下载后需转换成word才能编辑,其他下载后均可以随意编辑、修改、打印。

4、有的标题标有”最新”、多篇,实质内容并不相符,下载内容以在线阅读为准,请认真阅读全文再下载。

5、该文档为会员上传,下载所得收益全部归上传者所有,若您对文档版权有异议,可联系客服认领,既往收入全部归您。

  • 文档助手
    精品 绿卡 DOC PPT RAR
换一批
基于VHDL的地铁自动售票系统设计
帮帮文库
页面跳转中,请稍等....
帮帮文库

搜索

客服

足迹

下载文档